CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog ad

搜索资源列表

  1. collect

    1下载:
  2. 用verilog编写的max197这个AD转换的程序,在ISE综合仿真均通过。-max197, verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:650
    • 提供者:liu peng
  1. ad1674

    2下载:
  2. `高速AD1476 驱动程序 应用于高速AD(模数转换)与单片机接口-`AD1476 high-speed driver used in high-speed AD (analog-to-digital conversion) and single-chip interface
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:249651
    • 提供者:rui
  1. AD9708

    1下载:
  2. AD9708是高速AD转换芯片,采用VHDL实现10MSPS高速AD数据采集-AD9708 is high speed a/d conversion chip,10MSPS,using VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-16
    • 文件大小:851968
    • 提供者:yu_hai_yang
  1. AD[TLC549]

    1下载:
  2. 进阶实验之AD[TLC549] 采集模拟输入,电压动态显示在数码管,由verilog编写-Advanced experiments AD [TLC549] capture analog input voltage is dynamically displayed on the LED, written by the verilog
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-26
    • 文件大小:200739
    • 提供者:林爻
  1. AD_DA

    0下载:
  2. 非常好的,能够实现ad-da转换的子程序-Very good, to realize ad-da conversion subroutine
  3. 所属分类:SCM

    • 发布日期:2017-11-15
    • 文件大小:5190253
    • 提供者:汪海燕
  1. Code

    0下载:
  2. DSP学习板上的例子程序包括 AD转换 CAN总线 SPI SCI -Examples of on-board DSP learning process includes the AD conversion CAN Bus SPI SCI
  3. 所属分类:software engineering

    • 发布日期:2017-04-09
    • 文件大小:955769
    • 提供者:qwe
  1. daima

    0下载:
  2. 状态机控制AD转换模块 该模块主要实现对MAX197的控制:根据设计需要对芯片进行初始化(包括写控制字选择输入电压值范围、选择通道以及工作模式),并把通道数送指示灯显示以及用键盘控制通道号(按一下,通道号加1,同时点亮相应的指示灯,循环使用个通道);控制状态机的工作时序,并置两次采集到的数据为12位数据输出,并经过锁存进程来锁存数据,最后从锁存器中把输出数据-The state machine controls AD and changes the module this module ma
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:2771
    • 提供者:万俟斌
  1. TLC2543

    0下载:
  2. SPI串行接口AD转换器TLC2543的应用 经keil 编译 -SPI serial interface AD converter TLC2543 Application by keil compiler
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-03
    • 文件大小:5607
    • 提供者:henry
  1. tlc2543AND11channel

    2下载:
  2. 11路串行AD采集芯片TLC2543,12BIT精度输出,100Khz,采用VERILOG HDL编写,占用200个LE-11-Channel Serial AD acquisition chip TLC2543, 12BIT accuracy of the output, 100Khz, using VERILOG HDL preparation, taking up 200 LE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-25
    • 文件大小:32276
    • 提供者:chenwl
  1. TLC5510

    0下载:
  2. 采用超高速AD存储示波器程序设计。器件是采用TLC5510。用FPGA来控制实现。-The ultra high speed AD storage oscilloscope programming. Device is used TLC5510. Using FPGA to control the implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:1182
    • 提供者:刘大仔
  1. Sonix_2.4G_wireless_audio_module_spec_0v3

    0下载:
  2. 2.4G射频语音通信芯片设计方案,采用Sonix芯片,集成AD,滤波等-2.4G RF voice communication chip design, using Sonix chip, integrated AD, filtering
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:878142
    • 提供者:lwb
  1. AD_sample_100Mhz

    1下载:
  2. 用Verilog编写的FPGA AD采样 用Verilog编写的FPGA AD采样-AD_sample_100Mhz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:341739
    • 提供者:
  1. code

    0下载:
  2. 两个AD代码,一个是FPGA的(基于verilog) ,另一个是单片机的(基于汇编)。 还有两个基于c语言的单片机程序。还有一个脉冲宽度调制的verilog程序-Two AD code, one FPGA (based on verilog), the other is the microcontroller (based on the compilation.) There are two microcontrollers based on c language program. Ther
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:4340
    • 提供者:林龙润
  1. filter_40MHz

    2下载:
  2. 数字化中频接收机,用在AD之后的带通滤波器,VERILOG描述,32阶-Digital IF receiver, used in the AD after the bandpass filter, VERILOG descr iption, 32-step
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-08
    • 文件大小:4642
    • 提供者:yuanjun
  1. ADC0809

    0下载:
  2. ADC0809为8位AD,程序为利用FPGA实现ADC0809对于信号的模数转换。-ADC0809 8-bit AD, procedures for the use of FPGA implementation ADC0809 analog to digital conversion for the signal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:349490
    • 提供者:stt
  1. AD_filter

    0下载:
  2. AD递推平均滤波算法,采用verilog完成,可直接使用。-AD recursive average filter algorithm, using verilog complete, can be used directly.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:723
    • 提供者:杨安娜
  1. ADC_TCL5510-verilog

    0下载:
  2. verilog 驱动TLC5510代码,TLC5510是高速的AD,可达20MHz-verilog code driven TLC5510, TLC5510 is a high-speed AD, up to 20MHz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:565
    • 提供者:
  1. my6

    0下载:
  2. fpga verilog程序,实现诸多模块功能,包括,数码管显示,与ad,da通信,与mcu通信,以便通过mcu将高速ad值显示在lcd显示器上。-fpga verilog program to achieve a number of modules, including, digital display, with the ad, da communication, communication with mcu, mcu high-speed through the ad to the val
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3621177
    • 提供者:liu
  1. Verilog-Niosii-TLC1549

    0下载:
  2. niosii的一个完整的工程 Q2 软件是9.1版本,里面做了一个TLC1549的AD转换串转并的模块-niosii project with a TLC1549 module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10961697
    • 提供者:fu
  1. AD_1

    0下载:
  2. 基于FPGA的AD转换,AD芯片是TLC549,verilog-FPGA AD verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1073538
    • 提供者:朱浩
« 1 23 4 5 6 7 »
搜珍网 www.dssz.com